软件介绍

3DEC 是一款专业的沿途分析软件。
3DEC是由itasca推出的一款专业三维离散单元法软件,可以说是相当专业的软件了,基于离散单元法作为基本理论以描述离散介质力学行为的计算分析,软件提供了丰富的功能模块,可以广泛地应用于各类工程领域的分析操作。具有无限远辐射边界及多样化的波动输入方式以进行完全动力学分析以及3D内嵌等多种功能,有需要的快快下载吧。

下载说明

[软件名称]:3DEC三维离散单元法软件
[界面语言]:英文
[软件分类]:岩土工程专业软件
[安装环境]:Win 7/8/10/11皆适配
[系统位数]:32+64位
本文章长期有效,站长亲测安装,下载文档实时更新,解决后顾之忧。需要的请在文末获取最新下载链接。

声明:本站所有文章,如无特殊说明或标注,均为本站原创发布。任何个人或组织,在未征得本站同意时,禁止复制、盗用、采集、发布本站内容到任何网站、书籍等各类媒体平台。如若本站内容侵犯了原著者的合法权益,可联系我们进行处理。